library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; library work; use work.pkg.all; entity test is end entity test; architecture RTL of test is constant STRING_INPUT : string := f1("file.txt"); begin end architecture RTL;