Skip to content

Crash: tree kind T_ARRAY_SLICE does not have item I_IDENT #1040

@FireFox317

Description

@FireFox317

First of all thanks for making this new VHDL simulator! It's very impressive!

The following code crashes with release version 1.14.0:

library IEEE;
use IEEE.std_logic_1164.all;

entity crash is
end entity;

architecture rtl of crash is
    signal crash_signal : std_logic_vector(31 downto 0);
begin
    crash_signal(11 downto 0) <= crash_signal;
end architecture;

Backtrace:

** Fatal: tree kind T_ARRAY_SLICE does not have item I_IDENT
    > crash.vhd:10
    |
 10 |     crash_signal(11 downto 0) <= crash_signal;
    |     ^^^^^^^^^^^^^^^^^^^^^^^^^
[0x560cea2774e4] ../src/object.c:255 object_lookup_failed
[0x560cea27715d] ../src/tree.c:553 tree_ident
[0x560cea27715d] ../src/tree.c:86 add_hint_string.lto_priv.0.cold
[0x560cea3090c2] ../src/bounds.c:151 bounds_check_array.part.0.lto_priv.0
[0x560cea30a138] ../src/bounds.c:953 bounds_check_signal_assign
[0x560cea30a138] ../src/bounds.c:1406 bounds_visit_fn.lto_priv.0
[0x560cea30ca94] ../src/object.c:715 object_rewrite
[0x560cea30ccc4] ../src/object.c:790 object_rewrite
[0x560cea30ccc4] ../src/object.c:790 object_rewrite
[0x560cea3d2c9f] ../src/tree.c:1310 tree_rewrite.isra.0
[0x560cea304e65] ../src/bounds.c:1447 analyse_file
[0x560cea288307] ../src/nvc.c:249 analyse
[0x560cea288307] ../src/nvc.c:2113 process_command
[0x560cea282e76] ../src/nvc.c:2257 main

I haven't tested if this also crashes with the latest development version.

Metadata

Metadata

Assignees

No one assigned

    Labels

    No labels
    No labels

    Projects

    No projects

    Milestone

    No milestone

    Relationships

    None yet

    Development

    No branches or pull requests

    Issue actions